shdemers@undergrad.math.waterloo.edu [Sylvain Demers] writes: > Is it possible to get the HP to enter alpha-LOWERCASE-mode from the > INPUT statement automatically? This would be very convenient for a > particular application I'm working on. Any help (syseval's et. al.) > would be kindly accepted. eckstein@bean.ISD.Luftfahrt.Uni-Stuttgart.DE (Knut Eckstein) asked the same question, and received no answer. Here 'tis: #539A0h SYSEVAL (for ROM versions A through E). This toggles the lower-case flag. Note well: the lower-case flag is reset automatically every time the command line is executed, regardless of whether alpha mode was on or not. So to use this SYSEVAL effectively, it must immediately preceed the INPUT, as in the following example: %%HP: T(3)A(D)F(.); \<< # 539A0h SYSEVAL "lowercase:" { \Ga } INPUT "UPPERCASE:" { \Ga } INPUT \>> Try it; the keys pressed the first time will automatically be in lowercase, but the second time in uppercase like usual. -Joe Horn-